嘉多宝奢品
进入商城购买

电力线仿真系统的FPGA的设计方案

  电力线通信设备的研发需要一种标准化的测试平台对电力线信道进行实时仿真,通过信道传输特性和各种噪声进行全面的测试和验证,而目前缺乏这样的平台。文中对电力线信道传输特性和噪声进行了深入研究,并在此基础上提出了一种基于硬件的电力线仿真系统实现方法。使用Matlab仿真验证了该算法的可行性以及使用FPGA硬件实现的实用价值。   由于配电网络具有的超大规模和电力线通信具有的成本低、覆盖广、部署便捷等特点。电力线通信提供了优良的设备互联解决方案,因而受到广泛关注,并在智能电网、Internet接入、...

下一篇:MathWorks在SimMechanics中添加多体仿真功
上一篇:浅析单片机keil仿真与调试的方法
相关阅读
Copyright · 嘉多宝高仿奢侈品网 jiaduobao.ru